Sigasi Studio

HDL CODE EDITING, BROWSING & CHECKING / FPGA / SAFETY / ASIC


Sigasi Studio is an intelligent hardware design tool that features advanced programming assistance for engineers using HDLs for FPGA and ASIC design. This tool is valuable to anyone doing HDL design, and specifically engineering teams who create microchips for strictly regulated and safety critical environments. Sigasi Studio helps you deal with the complexity of VHDL and SystemVerilog.


Founded in 2008, Sigasi is a privately funded company based in Belgium. Sigasi’s mission is to provide a powerful IDE to hardware designers through Sigasi Studio. The editor is an efficient, low cost tool for HDL designers and engineers working in either VHDL or SystemVerilog.

Sigasi has partnerships with key FPGA vendors (including Altera and Xilinx) and leading EDA companies (including Aldec) and its Eclipse-based platform is being used by some of the biggest names in aerospace, defence, consumer electronics, industrial automation, medical electronics and telecom.

 

“Having come from a software development background, I had always felt that VHDL editing tools were stuck in the past. Sigasi changes this with a modern development environment sporting dozens of coding features which immediately save a lot of time, and that’s before you get to all the intelligent error checking.”
Jeff Simpson, Allen & Heath


HARDWARE DESIGN MADE FASTER, EASIER AND MORE EFFICIENT

HDL (VHDL/SystemVerilog) design can be difficult and complex and Sigasi Studio is a design creation tool that helps deal with that complexity. Sigasi Studio makes your hard-ware design:

icons8-facebook-like-64 Easier_ A basic text editor just won’t do if you want to write code like a pro. Sigasi Studio is an intelligent design tool that offers advanced design assistance. Why walk if you can drive… or get driven to your destination?
icons8-synchronize-filled-50 More efficient_ Sigasi Studio guides you through complex code designs. With instant feedback on errors and auto-completion suggestions. Reducing development time and helping you and your team write better code.
icons8-asterisk-filled-50 Faster_ Sigasi’s secret ingredient is the super fast built-in compiler. Because Sigasi Studio understands your code whilst you type, it can help you be more productive, produce higher quality work and excel in your work.

SAVE TIME AND MONEY

Sigasi Studio is like your personal assistant. It provides you with:

icons8-edit-52 Code editing_ Code completion, including component instantiations, based on where you are in the code. Sigasi Studio marks your syntax errors as you type, so you can fix them right away. The code is formatted and beautified consistently.
icons8-search-more-48 Code browsing_ Sigasi Studio serves as a code browser, so that you can navigate through your designs to understand large and complex legacy designs. With graphical browsing you can create visuals of your code, updated instantly and cross-linked to your code.
icons8-error-100 Code checking_ See errors whilst you type and get warn- ings about dubious code. You will be more efficient as you need less time to write better code, and you are able to free up valuable time at code reviews.
icons8-documents-50 Documentation_ Generate complete state machine diagrams or block diagrams that are always up-to-date. Text for documentation can be written as inline comments.
logo_positive
Features Matrix SIGASI STUDIO XL
Our trusted solution for mixed-language projects with powerful extra features
SIGASI STUDIO XPRT
Our next-generation flagship product with powerful visual feedback tools and documentation
Great code editor check-323e88 check-292a5e
Autocomplete check-323e88 check-292a5e
Syntax validation & Quick Fixes check-323e88 check-292a5e
Format code check-323e88 check-292a5e
Rename refactoring check-323e88 check-292a5e
Find references check-323e88 check-292a5e
Integrates with simulators check-323e88 check-292a5e
Mixed Language (VHDL/SystemVerilog) check-323e88 check-292a5e
Supports UVM check-323e88 check-292a5e
Hierarchy View check-323e88 check-292a5e
Offline updates check-323e88 check-292a5e
Integrates with linters check-323e88 check-292a5e
Code formatting options check-323e88 check-292a5e
Net search check-323e88 check-292a5e
Advanced Type Time Linting check-323e88 check-292a5e
VUnit integration check-292a5e
Class Hierarchy check-292a5e
Graphical Views
Compilation Dependencies check-323e88 check-292a5e
Block Diagram check-292a5e
State Machine Diagram check-292a5e
Documentation Generation
Generate HTML Documentation check-292a5e
Documentation Source Available check-292a5e
GRAPHICAL VIEWS LIVE UPDATE NAVIGATION EXPORT CONFIGURATION
State Machine Diagram Type Time Linked with source PNG/SVG Graphics config file
Block Diagram Save Time Linked with source PNG/SVG Graphics config file
Dependencies Save Time Linked with source PNG/SVG File or project level
DOCUMENTATION GENERATION
One click HTML export Live preview
Doc sources for custom documentation generation Includes Block & FSM diagrams

Always Evolving

With a dedicated development team and through close relationships with their users and partners, Sigasi are constantly working to evolve the tool and build on its capabilities. The result is regular quarterly software releases, ensuring that the latest HDL standards, agile methods and verification methodologies are supported.


 

Sigasi Studio XPRT Advanced Features

With the XPRT edition of Sigasi Studio it takes one push of a button and you will generate complete state machine diagrams or block diagrams, something that would normally take up to 4 hours per drawing.

Sigasi’s Premium Documentation Generator creates a PDF with all the relevant information from your project, which will never be duplicated, so it is always consistent. Documentation is kept up-to-date automatically, and text for documentation can be written as inline comments in the code.